Search:
Home  About  Submit Site    
  
 
Sites [ Submit ]
Heller Industries - OEM of reflow ovens for soldering and pressure curing ovens for semiconductor. The thermal technology worldwide leader. Joosung Engineering Inc. - Chemical vapor deposition (CVD) equipment for semiconductor and LCD processing. Sieghard Schiller GmbH & Co. - Manufacturers and installs automated semiconductor handling machines including substrate separators, and wafer loaders and unloaders for ovens and bonders. Other products include smart card and CD assemblers. Headquartered in Germany; photos and descriptions of products and list of customers. SOF Optoelectronics GmbH - Manufacture of equipment for the production of flat panel displays, semiconductors and gas purifiers. Suss Microtec - Manufacturer of mask aligners, bonders, flip chip bonders, spin coaters and probe systems for the mems, advanced packaging for the semiconductor markets. Disco Corporation - Manufacturer of precision dicing saws and grinding wheels providing dicing, grinding, and polishing equipment and services for semiconductor and electronic components. Accretech Ltd. - Specialized in the field of image processing, machine control and algorithm development for inspection tools for wafer inspection and mask inspection tools. Virginia Technologies, Inc. (VTI) - Manufactures optical based meters for measuring silicon based micro electro mechanical systems (MEMS) device thickness. Company profile and product technology description. Fine Semi Tech - Pellicles for photomask processing, chillers, pellicle mounters for semiconductor and FPD processing. Cascade Microtech - Manufacturer of probe stations and low current wafer probing solutions from probe cards to RF microwave prober applications. K.C.TECH Co., Ltd - Gas cabinet, wet stations. Flash site. Varian Semiconductor Equipment Associates - Offer high current, medium current, high energy fab tools, including ion implanters. Episil Technologies Inc. - Manufacturer of silicon epitaxial wafers foundry and provide buried layer epitaxial process services. Felcon Cleanrooms and Containment Ltd - Contamination controls including wet benches, cleanrooms, downflow, and laminar flow booths. Visual Photonics Epitaxy Co., Ltd. - Manufacturer of MOCVD technology based EPI materials. Greatek Technology Co., Ltd. - Designer and manufacturer of semiconductor process equipment. Cost Effective Equipment - Manufactures spin coaters, spinners, spincoaters, developers, hotplates and other semiconductor wafer processing equipment. A division of Brewer Science Inc. Johnson Matthey - Produces products including palladium (pd) purifiers and getter and catalytic gas purifiers and fuel processors. EV Group - Manufacturer of semiconductor production equipment. Including ranges for resist processing, wafer cleaning, wafer bonding and SOI bonding. Adventa Control Technologies Inc. - Semiconductor manufacturing software supplier. X-FAB - Mixed signal foundry experts in semiconductors. Keko Equipment - Manufacturer of equipment for development of multilayer based components. CoorsTek, Inc. - Supplies critical components and assemblies to the semiconductor capital equipment market, including precision-machined metals, technical ceramics, and engineered plastics . Axcelis Technologies Home Page - Manufacturer of semiconductor processing equipment including ion implantion, thermal processing, photostabilization, and photoresist dry strip equipment Rena Sondermaschinen GmbH - Supplies custom designed solutions and standard equipment for wet chemical processes in cleanrooms. Busch Semiconductor Vacuum Group - Manufacturer and supplier of vacuum technology to the semiconductor and flat panel industry GCL - Produce etch processing tanks, ultra violet exposure units and light boxes for industry and photographers. Windush Technology Ltd - Vacuum handling tools for semiconductor processing and other application where precision, safety and cleanliness are essential. SITE Services, Inc. - Designs and produces photolithography equipment. Applied Materials - Supplier of semiconductor processing equipment. Tek-Vac Industries, Inc. - Manufacturers of semiconductor and materials process equipment. ULTRA t Equipment - Provide cleaning systems for the semiconductor industry and microelectronics industries, meeting the most stringent requirements for a broad range of substrates. TSST - Thin film technology, especially for ceramic materials. Both, deposition equipment and thin film products. Silicet AG - Etching technologies for microsystems: patented wafer holder / wafer handling tools / wet etching benches Kokusai Semiconductor Equipment Corporation - Manufactures diffusion and low-pressure chemical vapor deposition (LPCVD) furnaces as well as rapid thermal processing (RTP) equipment. Solitec Wafer Processing, Inc. - Processing equipment for the semiconductor industry. KDF - KDF produces physical vapor deposition in-line batch systems used in the production of semiconductors, telecommunications and wireless networks, sensors, opto-electronics, flat panel displays and radio frequency power devices. EUV Technology - Manufactures custom research and development instrumentation for the utilization and analysis of short wavelength electromagnetic radiation - soft x-rays and extreme ultraviolet (EUV). Foothill Instruments, LLC - Manufacturer of film thickness metrology equipment for semiconductor and related industries. King Yuan Electronics Co. - A service provider in mixed, logic and memory testing and assembly, providing turnkey solutions that include wafer sort, packaging, testing, burn-in and drop ship. From Taiwan. Laurell Technologies Corporation - Manufacturers of spin coaters, spin etchers, spin dryers, wet etch stations and other processing equipment for the semiconductor industry. Micro-Mechanics - Manufactures a range of tooling, precision parts, and consumable materials for the semiconductor, fiber-optic, and micro-electronic industries. Spectel Research Corporation - Develops and makes semiconductor metrology products. ASYS Automatic Systems GmbH - Supplier of handling equipment for wafers, substrates, and MEMS in controlled environments. Germany. Site lists product photos and specifications in PDF format. Haiku Tech - Manufacturer and distributor of multilayer ceramic component manufacturing equipment. Technical overview of wet stacking techniques for making inductors. Tiros Corporation - Manufactures automated thermal curing systems including robotic multi-chamber systems. Site describes products and includes streaming video overview of system. Frontier Semiconductor Inc. - Manufacturer of equipment for measuring deposited thin film flatness and stress in wafers and characterizing wafer adhesion materials. Product descriptions and a description of how wafer stress is measured. Magnetic Solutions Limited - Manufacturer of magnetic annealing systems used in processing disk drive read/write heads and in processing magnetoresistive memory (MRAM). Product specifications, with brochures and schematics in PDF. Wordentec Limited - Supplier of vacuum coating, vacuum ovens, wafer and substrate handling, plasma generators, and other equipment for the thin film industry. Devon, UK. CHA Industries - Manufactures evaporation and sputtering high vacuum deposition systems, LED optical systems and electron beam guns. Product specifications and recommended applications, plus company contact information. Ventex Corporation - Provides sales, service and spare parts for Canon photolithography equipment. Sierra Applied Sciences Inc. - Designs and manufactures magnetron cathodes for sputtering of thick, thin, bonded, ceramic, metal, and magnetic and non-magnetic targets. Product overviews and applications. ASML Holding NV - Provider of lithography systems for the semiconductor industry. APC Technologies - A precision electroplating business specializing in electronic and semiconductor products. Torr International, Inc. - Thin film deposition and etching systems. American Probe & Technologies, Inc. - Offers accessories for analytical and production probing applications. Advanced Temperature Test Systems (ATT) GmbH - Offer a range of temperature control solutions (thermal wafer chuck) for the semiconductor industry. iCADA GmbH. - Integration of reticle stockers and inspection systems of all brands, and control of reticle shelves. SperryTek - Provides service and parts supply for dicing saws and manual bonders. Heidelberg Instruments - Manufactures direct write laser lithography systems. Applications include photomask, MEMS, BioMEMS, grey exposure and exposure through thick resist. Xenon Corporation - Manufactures pulsed UV systems for sterilization and curing of DVDs, semiconductors, fiberoptics, medical devices and electronics, featuring low heat, instant on/off and deep penetration. Also flashlamps and tall tower lamps Mission Technology Inc. - Manufactures new and used SVG style 81xx, 86xx and 88xx series track system. Complete line of spare parts available. Datacon Technology GmbH - Precision assembly equipment for the advanced packaging market. Specializes in die bonding, RFID, and flip chip technologies PVA TePla AG - Providers of microwave plasma tools for ashing, resist strip, descum, polyimide and paralyne removal, surface cleaning and isotropic etch processing for semiconductor wafers, flat panel displays , mems and optoelectronics. Sel-Tek Limited. - Specialist suppliers of chemical pumps, d. I water heaters, hall effect measurement systems, manual and automated probe stations, rf test equipment, probe tips, micropositioners and filtration products to the semiconductor equipment industry. Genmark Automation - Designs, develops and manufactures robotics, motion control and integrated tool automation systems for semiconductor, data storage, and flat panel displays. Mercatron International ltd - Manufacturers tungsten filaments for vacuum metallising. L. V. Service Enterprise - Sells and services mask aligners and other new and used semiconductor processing machines. San Jose, California. Planar Concern - Research and manufacturing of semiconductor equipment including photolithography machines, photomasks, mask aligners, dicing saws, and die bonders. Fast Gate Corporation - Designs and sells semiconductor manufacturing machines, and sells used equipment. Based in Japan. In Japanese and English. Rhetech, Inc - Manufactures, sells, modifies, and refurbishes used and surplus semiconductor equipment, including wet processing and Semitool equipment. Martek Automation - Automated material handling for the micro-electronics industry. Loomis Industries Inc - Designs semiconductor wafer scribing and dicing machines. H-Square Corporation - Manufactures wafer handling tools, die and package pick and place tools and photomask handling tools. Hi-Yen Trading International Ltd. - Capital equipment for the semiconductor and smart card industry. Apex Technologies - Design and manufacture of encapsulation molds, mold parts and related sub-assemblies for the semi-conductor industry. Semiconductor Wet Process Equipment - Process stations, plating benches, chemical delivery systems, quick dump rinsers, filter baths, circulators, quartz cleaning equipment, wafer storage cabinets. Transtronics, Inc. - Manufacturer of a pocket EPROM programmer with support for PIC, Flash, and EE applications. Techlink Semiconductors - Provide cost-effective equipment, service, spare parts and support to wafer fabs worldwide. Thermonics Incorporated - Manufactures precision temperature forcing systems, temperature chuck systems, automatic tri-temperature robotic handlers, and custom thermal fixturing for the IC industry. Raith GmbH - Offers hard- and software for SEM based E-beam lithography, defect review (FA) and CAD navigation (PC based) for science orientated customers, and the semiconductor industry. Quadrillion Corporation - Software for diagnosing semiconductor yield problems. Plasma Equipment Technical Services, Inc. - Manufacturer of semiconductor processing equipment including rie, pecvd, ion milling, sputtering, barrel ashing and plasma etching systems. MKS Instruments, Inc. - Supplies instruments and components used to measure, control, analyze and isolate gases in semiconductor and other manufacturing processes. (Nasdaq: MKSI). KLA-Tencor Corporation - Designs, manufactures, markets and services yield monitoring and process control systems for the semiconductor manufacturing industry. (Nasdaq: KLAC). Intellemetrics - Provides a range of thin film process control instrumentation including quartz crystal monitors, plasma monitors, optical monitors, and laser end point detectors. Hanmi Semiconductor - Manufacturer of back-end equipment in semiconductor industry. Myriad Semiconductor - Myriad manufactures, supports, and sells semiconductor mask aligners and other photolithography equipment for production, research and development and university teaching environments. Aehr Test Systems - Designs, engineers and manufactures massively parallel test systems, burn-in systems, die carriers, test fixtures and related accessories used in the semiconductor industry. (Nasdaq: AEHR). ASM International N.V. - Designs, manufactures, markets and services equipment and materials used to manufacture semiconductor devices. (Nasdaq: ASMI) ADE Corp. - Designs, manufactures, markets inspection systems used in semiconductor wafer and integrated circuit fabrication, and in testing computer disks and disk drives. Headquartered in Westwood, Massachusetts. (Nasdaq: ADEX). BE Semiconductor Industries NV - Designs, develops, manufactures, markets and services molding, trim and form, and selective plating and tin-lead plating equipment for the semiconductor industry's back-end assembly operations. (Nasdaq: BESI). BTU International, Inc. - Provides thermal process solutions for the electronic assembly and semiconductor packaging markets, develops custom equipment for specialty applications needing high-temperature and atmosphere-control. (Nasdaq: BTUI). Cymer, Inc. - Provides excimer laser illumination sources for use in deep ultraviolet photolithography systems targeted at the pilot and volume production segments of the semiconductor manufacturing market. (Nasdaq: CYMI). Electro Scientific Industries, Inc. - Designs and manufactures sophisticated production equipment used by microelectronics manufacturers. (Nasdaq: ESIO). Electroglas, Inc. - Develops, manufactures, markets and services automatic wafer probing equipment for use in the fabrication of semiconductor devices. (Nasdaq: EGLS). Kulicke and Soffa Industries, Inc. - Designs, manufactures and sells semiconductor assembly equipment including wire bonders, dicing saws and die. (Nasdaq: KLIC). Mattson Technology, Inc. - Designs, manufactures and markets advanced fabrication equipment used in semiconductor manufacturing. (Nasdaq: MTSN). SpeedFam Co., Ltd. - Japanese designer, develops, manufactures, markets and services surface processing systems used in fabrication of thin film memory disk media, semiconductor wafers, industrial components and devices. (Nasdaq: SFAM) Lam Research - A supplier of wafer fabrication equipment.
Click [ Submit ] above to Add a New Site, Update a Site, or Remove a Site from this Category.
This directory is made available through a Creative Commons Attribution license from the DMOZ Organization.

© 2024 - Midnight Design Productions, LLC